CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl pwm

搜索资源列表

  1. PWM-waves-generated-module-VHDL-code

    0下载:
  2. 此为基于FPGA的直流伺服系统的设计,具体为PWM波生成模块的VHDL代码-This is the dc servo system based on FPGA design, specific for PWM waves generated module VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:9084
    • 提供者:黄平
  1. PWM_Module

    0下载:
  2. Very clean design of a PWM module made in structural VHDL. Lower blocks are behavioral.Designed in Quartus 9.0,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1712833
    • 提供者:nada
  1. PWM(VHDL)

    0下载:
  2. --学习PWM的原理 --控制脉冲宽度,来调节LED的亮暗-- Learn the principle of PWM- control the pulse width, to adjust the LED light and dark
  3. 所属分类:assembly language

    • 发布日期:2017-11-27
    • 文件大小:463760
    • 提供者:饕餮小宇
  1. PWM

    0下载:
  2. 通过vhdl语言实现PWM功能,设置10 -100 的占空比调整,经测试通过-PWM function, vhdl language set adjust the duty cycle of 10 -100 , tested by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:186947
    • 提供者:hao dong
  1. pwm

    0下载:
  2. 脉宽调制,实现了脉宽调制功能,用VHDL语言,在quaturs2环境下运行。- Pulse Wavelength Modulation
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-25
    • 文件大小:1043862
    • 提供者:邓任桂
  1. PWM-VHDL-Dual-complementary-outputs

    0下载:
  2. 基于单片机的双路互补PWM波输出设置,仅供参考,希望对需要的人有帮助。-Based on single-chip dual complementary PWM wave.
  3. 所属分类:SCM

    • 发布日期:2017-11-09
    • 文件大小:788
    • 提供者:ZHUMING
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. pwm

    0下载:
  2. pwm波形的VHDL描述,比较简单,方便参考@!-VHDL descr iption pwm waveform, relatively simple, convenient reference @!
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-11
    • 文件大小:1084
    • 提供者:ttr
  1. pwm

    0下载:
  2. PWM VHDL程序,双路互补输出,精度占空比可调-PWM VHDL program, dual complementary output, precision adjustable duty cycle
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:670
    • 提供者:范旭东
  1. PWM

    0下载:
  2. 使用VHDL编写的PWM程序,可以扩展使用在电力电子装置的控制上-use VHDL to impelement PWM, which can be used in the control of power eletronic device.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:979093
    • 提供者:林铎
  1. PWM

    0下载:
  2. PWM VHDL spartan 3e active vhdl nexys2
  3. 所属分类:MultiLanguage

    • 发布日期:2017-04-15
    • 文件大小:8069
    • 提供者:Tcko
  1. pwm

    0下载:
  2. the code describe the Pulse Width Modulation (pwm) or la modulation de largeur d impulsions in french with the language vhdl
  3. 所属分类:Software Testing

    • 发布日期:2017-03-30
    • 文件大小:1074
    • 提供者:amani
  1. DIV_PWM

    0下载:
  2. 这是简单的vhdl pwm的例程,适合新手学习-This is a simple vhdl pwm routines, suitable for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:61434
    • 提供者:董扬
  1. PWM-VHDL

    0下载:
  2. 是使用VHDL编写的一段PWM产生程序,里面附带了详细的说明和源程序。-Is to use VHDL to write a PWM generation procedure, it comes with detailed instructions and the source program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:529162
    • 提供者:qu xiansheng
  1. PWM

    0下载:
  2. vhdl编写的pWM程序,用三角波比较法,实现比较简单-vhdl vhdl written pWM program, with a triangular wave comparison method, relatively simple to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:634696
    • 提供者:changrenhe
  1. PWM-IS

    0下载:
  2. control Pulse width modulation (PWM) using VHDL code and Block schematic.the selection switch at the FPGA board is important to control the duty cycle of PWM.For example application that can be used is to control speed dc motor.-control Pulse width m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1931120
    • 提供者:abdul
  1. PWM

    1下载:
  2. 基于FPGA的PWM控制器设计,包含ADC0820模块,按键扫描,PID,PWM控制器等模块,VHDL语言完成,已仿真通过-PWM controller design based on FPGA, including ADC0820 module, key scan, PID, PWM controllers and other modules, VHDL language completed, through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:787585
    • 提供者:hbxgwjl
  1. pwm

    0下载:
  2. 使用VHDL实现可调的PWM控制器,便于初学者学习-Use VHDL to achieve an adjustable PWM controller, easy for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:745
    • 提供者:zsk
  1. pwm

    0下载:
  2. VHDL, quartet 2 , FPGA, cyclone II, controllen PWM brightness
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:729
    • 提供者:zeez
  1. variable_duty_cycle_pwm

    0下载:
  2. VHDL project in ISE Xilinx for PWM generation
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:518144
    • 提供者:sameer
« 1 2 3 4 5 67 8 9 10 »
搜珍网 www.dssz.com